// verilog キーワード定義ファイル always assign begin case casex default else end endcase endfunction endmodule endtask for forever fork function if initial inout input integer join module negedge output parameter posedge reg task wait while wire